domingo, 1 de enero de 2017

PRACTICA # 5 CONVERTIDOR A/D 10 BITS

OBJETIVO:

Se hará uso del módulo A/D de 10 bits de resolución con el que cuenta el MCU R5F104PJAFB de la tarjeta de evaluación YRDKRL78G14. El valor de muestreo será visualizado el LCD 96x64 en tiempo real.
  •  Configurar el modulo A/D
  •  Imprimir el valor del convertidor en el LCD.


DESARROLLO:
  •  Del manual Renesas RL78G14 RDK User's Manual ubicamos el canal ANI8:
  • Del YRDKRL78G14 schematic ubicamos el Potenciometro VR1:


PASOS:
  • Creación de un proyecto:
1.- Abrir el software e2studio
2.- New/ C Project



  • Configurar proyecto:
1.- Clic derecho en el proyecto generado y seleccionar Properties



2.- Seleccionar C/C++ Build / Settings / Linker / Device y establecer los siguientes parámetros:
OCD = 85
Option Byte = efffe8
Palomear: Use range of debug monitor area. Nota: No establecer ningún valor solo dejarlo en blanco.
Apply y después OK.


3.- Configuraremos el A/D a 10 bits de resolución y un tiempo de muestreo de 2.375 us en el archivo r_cg_adc.c

void R_ADC_Create(void)
{   
    ADCEN = 1U;  /* supply AD clock */
    ADM0 = _00_AD_ADM0_INITIALVALUE;  /* disable AD conversion and clear ADM0 register */
    ADMK = 1U;  /* disable INTAD interrupt */
    ADIF = 0U;  /* clear INTAD interrupt flag */
    /* The reset status of ADPC is analog input, so it's unnecessary to set. */
    //PM2 |= 0xFFU;
    PM15 |= 0x01U; // ANI8 pin as analog input   POTENCIOMETRO
    PM2  |= 0x20U; // ANI5 pin as analog input    MIC-INPUT
    ADM0 = _30_AD_CONVERSION_CLOCK_4 | _00_AD_TIME_MODE_NORMAL_1 | _00_AD_OPERMODE_SELECT;
    ADM1 = _00_AD_TRIGGER_SOFTWARE | _20_AD_CONVMODE_ONESELECT;
    ADM2 = _00_AD_POSITIVE_VDD | _00_AD_NEGATIVE_VSS | _00_AD_AREA_MODE_1 | _00_AD_RESOLUTION_10BIT;         
    ADUL = _FF_AD_ADUL_VALUE;
    ADLL = _00_AD_ADLL_VALUE;
}

  • Agregar código, compilar y debug:
1.- Bajar el código de:

2.- Compilar con el icono del martillo, debug con el icono del insecto y correr software:


VÍDEO:

No hay comentarios.:

Publicar un comentario